41
TITLE: Real-time HD image distortion correction in heterogeneous parallel computing systems using efficient memory access patterns  Full Text
AUTHORS: Melo, R; Falcao, G ; Barreto, JP ;
PUBLISHED: 2016, SOURCE: JOURNAL OF REAL-TIME IMAGE PROCESSING, VOLUME: 11, ISSUE: 1
INDEXED IN: Scopus WOS CrossRef
IN MY: ORCID
42
TITLE: Stacked Autoencoders Using Low-Power Accelerated Architectures for Object Recognition in Autonomous Systems  Full Text
AUTHORS: Joao Maria; Joao Amaro; Gabriel Falcao ; Luis A Alexandre;
PUBLISHED: 2016, SOURCE: NEURAL PROCESSING LETTERS, VOLUME: 43, ISSUE: 2
INDEXED IN: Scopus WOS CrossRef: 7
43
TITLE: Time evolution of electron waves in graphene superlattices  Full Text
AUTHORS: David E Fernandes; Manuel Rodrigues; Gabriel Falcao ; Mario G Silveirinha;
PUBLISHED: 2016, SOURCE: AIP ADVANCES, VOLUME: 6, ISSUE: 7
INDEXED IN: Scopus WOS CrossRef: 2
44
TITLE: A GPU accelerated algorithm for blood detection inwireless capsule endoscopy images
AUTHORS: Kumar, S; Figueiredo, IN ; Graca, C; Falcao, G ;
PUBLISHED: 2015, SOURCE: Lecture Notes in Computational Vision and Biomechanics, VOLUME: 19
INDEXED IN: Scopus CrossRef: 2
45
TITLE: ACCELERATING AND DECELERATING MIN-SUM-BASED GEAR-SHIFT LDPC DECODERS
AUTHORS: Andrade, J; Falcao, G ; Silva, V;
PUBLISHED: 2015, SOURCE: 40th IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP) in 2015 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH, AND SIGNAL PROCESSING (ICASSP), VOLUME: 2015-August
INDEXED IN: Scopus WOS CrossRef
46
TITLE: DISTRIBUTED DENSE STEREO MATCHING FOR 3D RECONSTRUCTION USING PARALLEL-BASED PROCESSING ADVANTAGES
AUTHORS: Ralha, R; Falcao, G ; Andrade, J; Antunes, M; Barreto, JP; Nunes, U;
PUBLISHED: 2015, SOURCE: 40th IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP) in 2015 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH, AND SIGNAL PROCESSING (ICASSP), VOLUME: 2015-August
INDEXED IN: Scopus WOS CrossRef
47
TITLE: Enhancing Design Space Exploration by Extending CPU/GPU Specifications onto FPGAs  Full Text
AUTHORS: Muhsen Owaida; Gabriel Falcao ; Joao Andrade; Christos Antonopoulos; Nikolaos Bellas; Madhura Purnaprajna; David Novo; Georgios Karakonstantis; Andreas Burg; Paolo Ienne;
PUBLISHED: 2015, SOURCE: ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, VOLUME: 14, ISSUE: 2
INDEXED IN: Scopus WOS CrossRef: 2
48
TITLE: Fast Design Space Exploration using Vivado HLS: Non-Binary LDPC Decoders
AUTHORS: Joao Andrade; Nithin George; Kimon Karras; David Novo; Vitor Silva; Paolo Ienne; Gabriel Falcao ;
PUBLISHED: 2015, SOURCE: 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) in 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)
INDEXED IN: Scopus WOS CrossRef: 2
49
TITLE: From low-architectural expertise up to high-throughput non-binary LDPC decoders: Optimization guidelines using high-level synthesis
AUTHORS: Andrade, J; George, N; Karras, K; Novo, D; Silva, V; Ienne, P; Falcao, G ;
PUBLISHED: 2015, SOURCE: 25th International Conference on Field Programmable Logic and Applications, FPL 2015 in 25th International Conference on Field Programmable Logic and Applications, FPL 2015
INDEXED IN: Scopus CrossRef
50
TITLE: Software-Based High-Level Synthesis Design of FPGA Beamformers for Synthetic Aperture Imaging  Full Text
AUTHORS: Joao Amaro; Billy Y S Yiu; Gabriel Falcao ; Marco A C Gomes; Alfred C H Yu;
PUBLISHED: 2015, SOURCE: IEEE TRANSACTIONS ON ULTRASONICS FERROELECTRICS AND FREQUENCY CONTROL, VOLUME: 62, ISSUE: 5
INDEXED IN: Scopus WOS CrossRef
Page 5 of 10. Total results: 100.