61
TITLE: USING THE GPU FOR FAST SYMMETRY-BASED DENSE STEREO MATCHING IN HIGH RESOLUTION IMAGES
AUTHORS: Vasco Mota; Gabriel Falcao ; Michel Antunes; Joao Barreto; Urbano Nunes;
PUBLISHED: 2014, SOURCE: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) in 2014 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH AND SIGNAL PROCESSING (ICASSP)
INDEXED IN: Scopus WOS CrossRef: 3
IN MY: ORCID
62
TITLE: FAST ABERRANT CRYPT FOCI SEGMENTATION ON THE GPU
AUTHORS: Marco Martins; Gabriel Falcao ; Isabel N Figueiredo ;
PUBLISHED: 2013, SOURCE: IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP) in 2013 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH AND SIGNAL PROCESSING (ICASSP)
INDEXED IN: Scopus WOS CrossRef: 2
IN MY: ORCID
63
TITLE: FFT-SPA NON-BINARY LDPC DECODING ON GPU
AUTHORS: Andrade, J; Falcao, G ; Silva, V; Kenta Kasai;
PUBLISHED: 2013, SOURCE: IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP) in 2013 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH AND SIGNAL PROCESSING (ICASSP)
INDEXED IN: Scopus WOS CrossRef: 5
IN MY: ORCID
64
TITLE: From OpenCL to Gates: the FFT
AUTHORS: Andrade, J; Silva, V; Falcao, G ;
PUBLISHED: 2013, SOURCE: IEEE Global Conference on Signal and Information Processing (GlobalSIP) in 2013 IEEE GLOBAL CONFERENCE ON SIGNAL AND INFORMATION PROCESSING (GLOBALSIP)
INDEXED IN: Scopus WOS CrossRef
IN MY: ORCID
65
TITLE: How fast can parallel programming be taught to undergraduate students?
AUTHORS: Falcao, G ;
PUBLISHED: 2013, SOURCE: IEEE Potentials, VOLUME: 32, ISSUE: 4
INDEXED IN: Scopus CrossRef: 2
IN MY: ORCID
66
TITLE: Near-LSPA Performance at MSA Complexity
AUTHORS: Andrade, J; Falcao, G ; Silva, V; Barreto, JP; Goncalves, N ; Savin, V;
PUBLISHED: 2013, SOURCE: IEEE International Conference on Communications (ICC) in 2013 IEEE INTERNATIONAL CONFERENCE ON COMMUNICATIONS (ICC)
INDEXED IN: Scopus WOS CrossRef
IN MY: ORCID
68
TITLE: Open the Gates: Using High-level Synthesis Towards Programmable LDPC Decoders on FPGAs
AUTHORS: Pratas, F; Andrade, J; Falcao, G ; Silva, V; Sousa, L ;
PUBLISHED: 2013, SOURCE: IEEE Global Conference on Signal and Information Processing (GlobalSIP) in 2013 IEEE GLOBAL CONFERENCE ON SIGNAL AND INFORMATION PROCESSING (GLOBALSIP)
INDEXED IN: Scopus WOS DBLP CrossRef
IN MY: ORCID
69
TITLE: PORTABLE PARALLEL KERNELS FOR HIGH-SPEED BEAMFORMING IN SYNTHETIC APERTURE ULTRASOUND IMAGING
AUTHORS: Joao Amaro; Gabriel Falcao ; Billy Y S Yiu; Alfred C H Yu;
PUBLISHED: 2013, SOURCE: IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP) in 2013 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH AND SIGNAL PROCESSING (ICASSP)
INDEXED IN: Scopus WOS CrossRef: 3
IN MY: ORCID
70
TITLE: Stressing the BER simulation of LDPC codes in the error floor region using GPU clusters
AUTHORS: Falcao, G ; Andrade, J; Silva, V; Yamagiwa, S; Sousa, L ;
PUBLISHED: 2013, SOURCE: 10th IEEE International Symposium on Wireless Communication Systems 2013, ISWCS 2013 in Proceedings of the International Symposium on Wireless Communication Systems
INDEXED IN: Scopus
IN MY: ORCID
Page 7 of 10. Total results: 100.