71
TITLE: Unsupervised intrinsic calibration from a single frame using a "plumb-line" approach
AUTHORS: Melo, R; Antunes, M; Barreto, JP; Falcao, G ; Goncalves, N;
PUBLISHED: 2013, SOURCE: IEEE International Conference on Computer Vision (ICCV) in 2013 IEEE INTERNATIONAL CONFERENCE ON COMPUTER VISION (ICCV)
INDEXED IN: Scopus WOS CrossRef: 5
IN MY: ORCID
72
TITLE: A New Solution for Camera Calibration and Real-Time Image Distortion Correction in Medical Endoscopy-Initial Technical Evaluation
AUTHORS: Melo, R; Barreto, JP ; Falcao, G ;
PUBLISHED: 2012, SOURCE: IEEE TRANSACTIONS ON BIOMEDICAL ENGINEERING, VOLUME: 59, ISSUE: 3
INDEXED IN: Scopus WOS CrossRef
IN MY: ORCID
73
TITLE: A New Solution for Camera Calibration and Real-Time Image Distortion Correction in Medical Endoscopy-Initial Technical Evaluation (vol 59, pg 634, 2012)
AUTHORS: Melo, R; Barreto, JP ; Falcao, G ;
PUBLISHED: 2012, SOURCE: IEEE TRANSACTIONS ON BIOMEDICAL ENGINEERING, VOLUME: 59, ISSUE: 7
INDEXED IN: Scopus WOS CrossRef
IN MY: ORCID
74
TITLE: Configurable M-factor VLSI DVB-S2 LDPC decoder architecture with optimized memory tiling design
AUTHORS: Gabriel Falcao ; Marco Gomes ; Vitor Silva ; Leonel Sousa ; Joao Cacheira;
PUBLISHED: 2012, SOURCE: EURASIP JOURNAL ON WIRELESS COMMUNICATIONS AND NETWORKING, VOLUME: 2012, ISSUE: 1
INDEXED IN: Scopus WOS DBLP CrossRef: 4
IN MY: ORCID
75
TITLE: LDPC decoding on the Intel SCC
AUTHORS: Diavastos, A; Petrides, P; Falcao, G ; Trancoso, P;
PUBLISHED: 2012, SOURCE: 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2012 in Proceedings - 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2012
INDEXED IN: Scopus CrossRef: 2
IN MY: ORCID
76
TITLE: Portable LDPC Decoding on Multicores Using OpenCL  Full Text
AUTHORS: Gabriel Falcao ; Vitor Silva ; Leonel Sousa ; Joao Andrade;
PUBLISHED: 2012, SOURCE: IEEE SIGNAL PROCESSING MAGAZINE, VOLUME: 29, ISSUE: 4
INDEXED IN: Scopus WOS
IN MY: ORCID
77
TITLE: Portable LDPC Decoding on Multicores Using OpenCL [Applications Corner]  Full Text
AUTHORS: Gabriel Falcão Paiva Fernandes ; Vítor Manuel Mendes da Silva; Leonel Sousa ; Joao Andrade;
PUBLISHED: 2012, SOURCE: IEEE Signal Process. Mag., VOLUME: 29, ISSUE: 4
INDEXED IN: Scopus DBLP CrossRef
IN MY: ORCID
78
TITLE: Shortening design time through multiplatform simulations with a portable OpenCL golden-model: the LDPC decoder case
AUTHORS: Falcao, G ; Owaida, M; Novo, D; Purnaprajna, M; Bellas, N; Antonopoulos, CD; Karakonstantis, G; Burg, A; Ienne, P;
PUBLISHED: 2012, SOURCE: 20th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM) in 2012 IEEE 20TH ANNUAL INTERNATIONAL SYMPOSIUM ON FIELD-PROGRAMMABLE CUSTOM COMPUTING MACHINES (FCCM)
INDEXED IN: Scopus WOS CrossRef: 10
IN MY: ORCID
79
TITLE: GPU-based DVB-S2 LDPC decoder with high throughput and fast error floor detection  Full Text
AUTHORS: Falcao, G ; Andrade, J; Silva, V ; Sousa, L ;
PUBLISHED: 2011, SOURCE: ELECTRONICS LETTERS, VOLUME: 47, ISSUE: 9
INDEXED IN: Scopus WOS CrossRef: 17
IN MY: ORCID
80
TITLE: Massively LDPC Decoding on Multicore Architectures  Full Text
AUTHORS: Gabriel Falcao ; Leonel Sousa ; Vitor Silva ;
PUBLISHED: 2011, SOURCE: IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOLUME: 22, ISSUE: 2
INDEXED IN: Scopus WOS DBLP CrossRef: 60
IN MY: ORCID
Page 8 of 10. Total results: 100.